74163

Synchronous 4-Bit Binary Counters with Synchronous Clear

SN74163
SN74163N(TI、1973年製)

74163

完全同期式のカウンタです。

入出力は4Bitで、コードはバイナリです。
LOAD入力より、指定値からのカウントが可能です。
クリア入力はクロック同期になっています。

内部ルックアヘッド方式をとっている為、高速です。

カスケード接続する場合には、カウント・イネーブル入力TまたはPを使います。
TとPが共にHレベルの時、カウンタは動作します。
つまり前段のキャリーアウト出力を用いれば、次段は1だけカウントアップされます。


SN74163Nは、みなぎ様から譲っていただきました。
本当にありがとうございます。(2023年5月1日)


最終更新日:2023年5月1日



74162←74163→74164
74シリーズの紹介へ戻る
トップへ戻る
inserted by FC2 system