74161

Synchronous 4-Bit Binary Counters with Asynchronous Clear

SN74161
SN74161N(TI、1980年製)

74161

同期式のカウンタです。

入出力は4Bitで、コードはバイナリです。
LOAD入力より、指定値からのカウントが可能です。
クリア入力はクロック非同期になっています。

内部ルックアヘッド方式をとっている為、高速です。

カスケード接続する場合には、カウント・イネーブル入力TまたはPを使います。
TとPが共にHレベルの時、カウンタは動作します。
つまり前段のキャリーアウト出力を用いれば、次段は1だけカウントアップされます。


LA30

LA30,SN74161
LA30(TI、1973年製)

74161

謎の型番ですが、裏には74161とTIのICにみられるシルク印字がありました。
富士通のTTLにもLAxxという表記があり、型番と機能が対応している為、
日本国内で定められた何かしらの規格があったのでしょうか...
詳細は調査中です。

LA30は、みなぎ様から譲っていただきました。
本当にありがとうございます。(2023年5月1日)


最終更新日:2023年5月1日



74160←74161→74162
74シリーズの紹介へ戻る
トップへ戻る
inserted by FC2 system